请选择 进入手机版 | 继续访问电脑版

普中开源电子分享网

 找回密码
 立即注册
搜索
查看: 1837|回复: 0

8x8点阵不亮

[复制链接]

1

主题

1

帖子

7

积分

新手上路

Rank: 1

积分
7
发表于 2021-12-1 22:14:36 | 显示全部楼层 |阅读模式
#include "reg52.h"

sbit SER=P3^4;
sbit SRCLK=P3^6;
sbit rCLK=P3^5;

#define LEDDZ_COL_PORT        P0                 //点阵列段码
unsigned char arr[8]={0x01,0x02,0x04,0x08,0x10,0x20,0x40,0x80};                                                                                                                       

void delay_10us(unsigned int x)
{
        while(x--);
}


void hc595_write_data(unsigned char dat)                //hc595芯片读写函数
{
        unsigned char i=0;
        for(i=0;i<8;i++)
        {
                SER=dat>>7;                                 //移位器先读最高位
                dat<<=1;
                SRCLK=0;
                delay_10us(1);
                SRCLK=1;
                delay_10us(1);               
        }
        rCLK=0;                                        //(上升沿)点阵行段码一起存入寄存器
        delay_10us(1);
        rCLK=1;
}
void main()
{       
        unsigned char a=0;
        LEDDZ_COL_PORT=0x00;
        while(1)
        {
                for(a=0;a<8;a++)
                {
                        hc595_write_data(0x00);   //消隐
                         hc595_write_data(arr[a]);
                        delay_10us(50000);
                }                       
        }
}
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

Archiver|手机版|小黑屋|普中开源电子分享网 粤ICP备16123577号-2

GMT+8, 2024-3-29 13:34 , Processed in 0.611467 second(s), 30 queries .

Powered by 论坛搭建 X3.4

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表