请选择 进入手机版 | 继续访问电脑版

普中开源电子分享网

 找回密码
 立即注册
搜索
查看: 3810|回复: 2

求助实验板 写入点阵程序实验9:8X8LED点阵 所有点都不亮?

 关闭 [复制链接]

2

主题

3

帖子

22

积分

新手上路

Rank: 1

积分
22
发表于 2017-5-25 21:37:20 | 显示全部楼层 |阅读模式
本帖最后由 787878ctg 于 2017-5-25 21:39 编辑

我的实验板 写入点阵程序实验10:8X8LED点阵(显示数字)正常能显示一个大大的0 ,但实验9:8X8LED点阵(点亮一个点) 点阵一个点也不亮,有时开关电源4次 点阵的左上角的点会亮 ,有时要开关6次。595上边的灯全亮。跨线joe 接gnd   是那的原因?
回复

使用道具 举报

2

主题

3

帖子

22

积分

新手上路

Rank: 1

积分
22
 楼主| 发表于 2017-5-25 21:52:41 | 显示全部楼层
本帖最后由 787878ctg 于 2017-5-25 21:53 编辑

跨线接vcc  点阵左边第一排(列)全亮。 接 gnd 还是一个都不亮
回复 支持 反对

使用道具 举报

12

主题

46

帖子

160

积分

注册会员

Rank: 2

积分
160
发表于 2017-5-31 21:05:50 | 显示全部楼层
1、跨线joe 接vcc
2你可以参考下我的程序。分别显示3种不同 的图案。

#include<reg51.h>
#include<intrins.h>
#define NOP() _nop_()

sbit MOSIO=P3^4;
sbit R_CLK=P3^5;
sbit S_CLK=P3^6;
unsigned char code H[]={0x01,0x02,0x04,0x08,0x10,0x20,0x40,0x20,0x10,0x20,0x40,0x20,0x10,0x08,0x04,0x02};
unsigned char code L[]={0xef,0xdf,0xbf,0x7f,0x7f,0x7f,0xbf,0xdf,0xef,0xf7,0xfb,0xfd,0xfd,0xfd,0xfb,0xf7};

void delay(unsigned int i)
{
unsigned int j;
for(i;i>0;i--)
        for(j=30;j>0;j--);
}

void HC595SendData(unsigned char SendVal)
{
unsigned char i;
for(i=0;i<8;i++)
        {
        if((SendVal<<i)&0X80) MOSIO=1;
        else  MOSIO=0;
        S_CLK=0;
        NOP();
        NOP();
        S_CLK=1;

        R_CLK=0;
        NOP();
        NOP();
        R_CLK=1;
        }
}

void D1()
{
HC595SendData(H[0]);
P0=L[0];
delay(20);
}
void D2()
{
HC595SendData(H[0]);
P0=L[0];
delay(20);
HC595SendData(H[1]);
P0=L[1];
delay(20);
}
void D3()
{
HC595SendData(H[0]);
P0=L[0];
delay(20);
HC595SendData(H[1]);
P0=L[1];
delay(20);
HC595SendData(H[2]);
P0=L[2];
delay(20);
}

void main(void)
{
D1();
delay(2000);
D2();
delay(2000);
D3();
delay(2000);
}

回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

Archiver|手机版|小黑屋|普中开源电子分享网 粤ICP备16123577号-2

GMT+8, 2024-3-29 05:21 , Processed in 0.095587 second(s), 30 queries .

Powered by 论坛搭建 X3.4

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表